qemu/hw/arm/boot.c
<<
>>
Prefs
   1/*
   2 * ARM kernel loader.
   3 *
   4 * Copyright (c) 2006-2007 CodeSourcery.
   5 * Written by Paul Brook
   6 *
   7 * This code is licensed under the GPL.
   8 */
   9
  10#include "qemu/osdep.h"
  11#include "qemu-common.h"
  12#include "qemu/error-report.h"
  13#include "qapi/error.h"
  14#include <libfdt.h>
  15#include "hw/arm/boot.h"
  16#include "hw/arm/linux-boot-if.h"
  17#include "sysemu/kvm.h"
  18#include "sysemu/sysemu.h"
  19#include "sysemu/numa.h"
  20#include "hw/boards.h"
  21#include "sysemu/reset.h"
  22#include "hw/loader.h"
  23#include "elf.h"
  24#include "sysemu/device_tree.h"
  25#include "qemu/config-file.h"
  26#include "qemu/option.h"
  27#include "exec/address-spaces.h"
  28#include "qemu/units.h"
  29
  30#include <libfdt.h>
  31
  32/* Kernel boot protocol is specified in the kernel docs
  33 * Documentation/arm/Booting and Documentation/arm64/booting.txt
  34 * They have different preferred image load offsets from system RAM base.
  35 */
  36#define KERNEL_ARGS_ADDR   0x100
  37#define KERNEL_NOLOAD_ADDR 0x02000000
  38#define KERNEL_LOAD_ADDR   0x00010000
  39#define KERNEL64_LOAD_ADDR 0x00080000
  40
  41#define ARM64_TEXT_OFFSET_OFFSET    8
  42#define ARM64_MAGIC_OFFSET          56
  43
  44#define BOOTLOADER_MAX_SIZE         (4 * KiB)
  45
  46AddressSpace *arm_boot_address_space(ARMCPU *cpu,
  47                                     const struct arm_boot_info *info)
  48{
  49    /* Return the address space to use for bootloader reads and writes.
  50     * We prefer the secure address space if the CPU has it and we're
  51     * going to boot the guest into it.
  52     */
  53    int asidx;
  54    CPUState *cs = CPU(cpu);
  55
  56    if (arm_feature(&cpu->env, ARM_FEATURE_EL3) && info->secure_boot) {
  57        asidx = ARMASIdx_S;
  58    } else {
  59        asidx = ARMASIdx_NS;
  60    }
  61
  62    return cpu_get_address_space(cs, asidx);
  63}
  64
  65typedef enum {
  66    FIXUP_NONE = 0,     /* do nothing */
  67    FIXUP_TERMINATOR,   /* end of insns */
  68    FIXUP_BOARDID,      /* overwrite with board ID number */
  69    FIXUP_BOARD_SETUP,  /* overwrite with board specific setup code address */
  70    FIXUP_ARGPTR_LO,    /* overwrite with pointer to kernel args */
  71    FIXUP_ARGPTR_HI,    /* overwrite with pointer to kernel args (high half) */
  72    FIXUP_ENTRYPOINT_LO, /* overwrite with kernel entry point */
  73    FIXUP_ENTRYPOINT_HI, /* overwrite with kernel entry point (high half) */
  74    FIXUP_GIC_CPU_IF,   /* overwrite with GIC CPU interface address */
  75    FIXUP_BOOTREG,      /* overwrite with boot register address */
  76    FIXUP_DSB,          /* overwrite with correct DSB insn for cpu */
  77    FIXUP_MAX,
  78} FixupType;
  79
  80typedef struct ARMInsnFixup {
  81    uint32_t insn;
  82    FixupType fixup;
  83} ARMInsnFixup;
  84
  85static const ARMInsnFixup bootloader_aarch64[] = {
  86    { 0x580000c0 }, /* ldr x0, arg ; Load the lower 32-bits of DTB */
  87    { 0xaa1f03e1 }, /* mov x1, xzr */
  88    { 0xaa1f03e2 }, /* mov x2, xzr */
  89    { 0xaa1f03e3 }, /* mov x3, xzr */
  90    { 0x58000084 }, /* ldr x4, entry ; Load the lower 32-bits of kernel entry */
  91    { 0xd61f0080 }, /* br x4      ; Jump to the kernel entry point */
  92    { 0, FIXUP_ARGPTR_LO }, /* arg: .word @DTB Lower 32-bits */
  93    { 0, FIXUP_ARGPTR_HI}, /* .word @DTB Higher 32-bits */
  94    { 0, FIXUP_ENTRYPOINT_LO }, /* entry: .word @Kernel Entry Lower 32-bits */
  95    { 0, FIXUP_ENTRYPOINT_HI }, /* .word @Kernel Entry Higher 32-bits */
  96    { 0, FIXUP_TERMINATOR }
  97};
  98
  99/* A very small bootloader: call the board-setup code (if needed),
 100 * set r0-r2, then jump to the kernel.
 101 * If we're not calling boot setup code then we don't copy across
 102 * the first BOOTLOADER_NO_BOARD_SETUP_OFFSET insns in this array.
 103 */
 104
 105static const ARMInsnFixup bootloader[] = {
 106    { 0xe28fe004 }, /* add     lr, pc, #4 */
 107    { 0xe51ff004 }, /* ldr     pc, [pc, #-4] */
 108    { 0, FIXUP_BOARD_SETUP },
 109#define BOOTLOADER_NO_BOARD_SETUP_OFFSET 3
 110    { 0xe3a00000 }, /* mov     r0, #0 */
 111    { 0xe59f1004 }, /* ldr     r1, [pc, #4] */
 112    { 0xe59f2004 }, /* ldr     r2, [pc, #4] */
 113    { 0xe59ff004 }, /* ldr     pc, [pc, #4] */
 114    { 0, FIXUP_BOARDID },
 115    { 0, FIXUP_ARGPTR_LO },
 116    { 0, FIXUP_ENTRYPOINT_LO },
 117    { 0, FIXUP_TERMINATOR }
 118};
 119
 120/* Handling for secondary CPU boot in a multicore system.
 121 * Unlike the uniprocessor/primary CPU boot, this is platform
 122 * dependent. The default code here is based on the secondary
 123 * CPU boot protocol used on realview/vexpress boards, with
 124 * some parameterisation to increase its flexibility.
 125 * QEMU platform models for which this code is not appropriate
 126 * should override write_secondary_boot and secondary_cpu_reset_hook
 127 * instead.
 128 *
 129 * This code enables the interrupt controllers for the secondary
 130 * CPUs and then puts all the secondary CPUs into a loop waiting
 131 * for an interprocessor interrupt and polling a configurable
 132 * location for the kernel secondary CPU entry point.
 133 */
 134#define DSB_INSN 0xf57ff04f
 135#define CP15_DSB_INSN 0xee070f9a /* mcr cp15, 0, r0, c7, c10, 4 */
 136
 137static const ARMInsnFixup smpboot[] = {
 138    { 0xe59f2028 }, /* ldr r2, gic_cpu_if */
 139    { 0xe59f0028 }, /* ldr r0, bootreg_addr */
 140    { 0xe3a01001 }, /* mov r1, #1 */
 141    { 0xe5821000 }, /* str r1, [r2] - set GICC_CTLR.Enable */
 142    { 0xe3a010ff }, /* mov r1, #0xff */
 143    { 0xe5821004 }, /* str r1, [r2, 4] - set GIC_PMR.Priority to 0xff */
 144    { 0, FIXUP_DSB },   /* dsb */
 145    { 0xe320f003 }, /* wfi */
 146    { 0xe5901000 }, /* ldr     r1, [r0] */
 147    { 0xe1110001 }, /* tst     r1, r1 */
 148    { 0x0afffffb }, /* beq     <wfi> */
 149    { 0xe12fff11 }, /* bx      r1 */
 150    { 0, FIXUP_GIC_CPU_IF }, /* gic_cpu_if: .word 0x.... */
 151    { 0, FIXUP_BOOTREG }, /* bootreg_addr: .word 0x.... */
 152    { 0, FIXUP_TERMINATOR }
 153};
 154
 155static void write_bootloader(const char *name, hwaddr addr,
 156                             const ARMInsnFixup *insns, uint32_t *fixupcontext,
 157                             AddressSpace *as)
 158{
 159    /* Fix up the specified bootloader fragment and write it into
 160     * guest memory using rom_add_blob_fixed(). fixupcontext is
 161     * an array giving the values to write in for the fixup types
 162     * which write a value into the code array.
 163     */
 164    int i, len;
 165    uint32_t *code;
 166
 167    len = 0;
 168    while (insns[len].fixup != FIXUP_TERMINATOR) {
 169        len++;
 170    }
 171
 172    code = g_new0(uint32_t, len);
 173
 174    for (i = 0; i < len; i++) {
 175        uint32_t insn = insns[i].insn;
 176        FixupType fixup = insns[i].fixup;
 177
 178        switch (fixup) {
 179        case FIXUP_NONE:
 180            break;
 181        case FIXUP_BOARDID:
 182        case FIXUP_BOARD_SETUP:
 183        case FIXUP_ARGPTR_LO:
 184        case FIXUP_ARGPTR_HI:
 185        case FIXUP_ENTRYPOINT_LO:
 186        case FIXUP_ENTRYPOINT_HI:
 187        case FIXUP_GIC_CPU_IF:
 188        case FIXUP_BOOTREG:
 189        case FIXUP_DSB:
 190            insn = fixupcontext[fixup];
 191            break;
 192        default:
 193            abort();
 194        }
 195        code[i] = tswap32(insn);
 196    }
 197
 198    assert((len * sizeof(uint32_t)) < BOOTLOADER_MAX_SIZE);
 199
 200    rom_add_blob_fixed_as(name, code, len * sizeof(uint32_t), addr, as);
 201
 202    g_free(code);
 203}
 204
 205static void default_write_secondary(ARMCPU *cpu,
 206                                    const struct arm_boot_info *info)
 207{
 208    uint32_t fixupcontext[FIXUP_MAX];
 209    AddressSpace *as = arm_boot_address_space(cpu, info);
 210
 211    fixupcontext[FIXUP_GIC_CPU_IF] = info->gic_cpu_if_addr;
 212    fixupcontext[FIXUP_BOOTREG] = info->smp_bootreg_addr;
 213    if (arm_feature(&cpu->env, ARM_FEATURE_V7)) {
 214        fixupcontext[FIXUP_DSB] = DSB_INSN;
 215    } else {
 216        fixupcontext[FIXUP_DSB] = CP15_DSB_INSN;
 217    }
 218
 219    write_bootloader("smpboot", info->smp_loader_start,
 220                     smpboot, fixupcontext, as);
 221}
 222
 223void arm_write_secure_board_setup_dummy_smc(ARMCPU *cpu,
 224                                            const struct arm_boot_info *info,
 225                                            hwaddr mvbar_addr)
 226{
 227    AddressSpace *as = arm_boot_address_space(cpu, info);
 228    int n;
 229    uint32_t mvbar_blob[] = {
 230        /* mvbar_addr: secure monitor vectors
 231         * Default unimplemented and unused vectors to spin. Makes it
 232         * easier to debug (as opposed to the CPU running away).
 233         */
 234        0xeafffffe, /* (spin) */
 235        0xeafffffe, /* (spin) */
 236        0xe1b0f00e, /* movs pc, lr ;SMC exception return */
 237        0xeafffffe, /* (spin) */
 238        0xeafffffe, /* (spin) */
 239        0xeafffffe, /* (spin) */
 240        0xeafffffe, /* (spin) */
 241        0xeafffffe, /* (spin) */
 242    };
 243    uint32_t board_setup_blob[] = {
 244        /* board setup addr */
 245        0xee110f51, /* mrc     p15, 0, r0, c1, c1, 2  ;read NSACR */
 246        0xe3800b03, /* orr     r0, #0xc00             ;set CP11, CP10 */
 247        0xee010f51, /* mcr     p15, 0, r0, c1, c1, 2  ;write NSACR */
 248        0xe3a00e00 + (mvbar_addr >> 4), /* mov r0, #mvbar_addr */
 249        0xee0c0f30, /* mcr     p15, 0, r0, c12, c0, 1 ;set MVBAR */
 250        0xee110f11, /* mrc     p15, 0, r0, c1 , c1, 0 ;read SCR */
 251        0xe3800031, /* orr     r0, #0x31              ;enable AW, FW, NS */
 252        0xee010f11, /* mcr     p15, 0, r0, c1, c1, 0  ;write SCR */
 253        0xe1a0100e, /* mov     r1, lr                 ;save LR across SMC */
 254        0xe1600070, /* smc     #0                     ;call monitor to flush SCR */
 255        0xe1a0f001, /* mov     pc, r1                 ;return */
 256    };
 257
 258    /* check that mvbar_addr is correctly aligned and relocatable (using MOV) */
 259    assert((mvbar_addr & 0x1f) == 0 && (mvbar_addr >> 4) < 0x100);
 260
 261    /* check that these blobs don't overlap */
 262    assert((mvbar_addr + sizeof(mvbar_blob) <= info->board_setup_addr)
 263          || (info->board_setup_addr + sizeof(board_setup_blob) <= mvbar_addr));
 264
 265    for (n = 0; n < ARRAY_SIZE(mvbar_blob); n++) {
 266        mvbar_blob[n] = tswap32(mvbar_blob[n]);
 267    }
 268    rom_add_blob_fixed_as("board-setup-mvbar", mvbar_blob, sizeof(mvbar_blob),
 269                          mvbar_addr, as);
 270
 271    for (n = 0; n < ARRAY_SIZE(board_setup_blob); n++) {
 272        board_setup_blob[n] = tswap32(board_setup_blob[n]);
 273    }
 274    rom_add_blob_fixed_as("board-setup", board_setup_blob,
 275                          sizeof(board_setup_blob), info->board_setup_addr, as);
 276}
 277
 278static void default_reset_secondary(ARMCPU *cpu,
 279                                    const struct arm_boot_info *info)
 280{
 281    AddressSpace *as = arm_boot_address_space(cpu, info);
 282    CPUState *cs = CPU(cpu);
 283
 284    address_space_stl_notdirty(as, info->smp_bootreg_addr,
 285                               0, MEMTXATTRS_UNSPECIFIED, NULL);
 286    cpu_set_pc(cs, info->smp_loader_start);
 287}
 288
 289static inline bool have_dtb(const struct arm_boot_info *info)
 290{
 291    return info->dtb_filename || info->get_dtb;
 292}
 293
 294#define WRITE_WORD(p, value) do { \
 295    address_space_stl_notdirty(as, p, value, \
 296                               MEMTXATTRS_UNSPECIFIED, NULL);  \
 297    p += 4;                       \
 298} while (0)
 299
 300static void set_kernel_args(const struct arm_boot_info *info, AddressSpace *as)
 301{
 302    int initrd_size = info->initrd_size;
 303    hwaddr base = info->loader_start;
 304    hwaddr p;
 305
 306    p = base + KERNEL_ARGS_ADDR;
 307    /* ATAG_CORE */
 308    WRITE_WORD(p, 5);
 309    WRITE_WORD(p, 0x54410001);
 310    WRITE_WORD(p, 1);
 311    WRITE_WORD(p, 0x1000);
 312    WRITE_WORD(p, 0);
 313    /* ATAG_MEM */
 314    /* TODO: handle multiple chips on one ATAG list */
 315    WRITE_WORD(p, 4);
 316    WRITE_WORD(p, 0x54410002);
 317    WRITE_WORD(p, info->ram_size);
 318    WRITE_WORD(p, info->loader_start);
 319    if (initrd_size) {
 320        /* ATAG_INITRD2 */
 321        WRITE_WORD(p, 4);
 322        WRITE_WORD(p, 0x54420005);
 323        WRITE_WORD(p, info->initrd_start);
 324        WRITE_WORD(p, initrd_size);
 325    }
 326    if (info->kernel_cmdline && *info->kernel_cmdline) {
 327        /* ATAG_CMDLINE */
 328        int cmdline_size;
 329
 330        cmdline_size = strlen(info->kernel_cmdline);
 331        address_space_write(as, p + 8, MEMTXATTRS_UNSPECIFIED,
 332                            info->kernel_cmdline, cmdline_size + 1);
 333        cmdline_size = (cmdline_size >> 2) + 1;
 334        WRITE_WORD(p, cmdline_size + 2);
 335        WRITE_WORD(p, 0x54410009);
 336        p += cmdline_size * 4;
 337    }
 338    if (info->atag_board) {
 339        /* ATAG_BOARD */
 340        int atag_board_len;
 341        uint8_t atag_board_buf[0x1000];
 342
 343        atag_board_len = (info->atag_board(info, atag_board_buf) + 3) & ~3;
 344        WRITE_WORD(p, (atag_board_len + 8) >> 2);
 345        WRITE_WORD(p, 0x414f4d50);
 346        address_space_write(as, p, MEMTXATTRS_UNSPECIFIED,
 347                            atag_board_buf, atag_board_len);
 348        p += atag_board_len;
 349    }
 350    /* ATAG_END */
 351    WRITE_WORD(p, 0);
 352    WRITE_WORD(p, 0);
 353}
 354
 355static void set_kernel_args_old(const struct arm_boot_info *info,
 356                                AddressSpace *as)
 357{
 358    hwaddr p;
 359    const char *s;
 360    int initrd_size = info->initrd_size;
 361    hwaddr base = info->loader_start;
 362
 363    /* see linux/include/asm-arm/setup.h */
 364    p = base + KERNEL_ARGS_ADDR;
 365    /* page_size */
 366    WRITE_WORD(p, 4096);
 367    /* nr_pages */
 368    WRITE_WORD(p, info->ram_size / 4096);
 369    /* ramdisk_size */
 370    WRITE_WORD(p, 0);
 371#define FLAG_READONLY   1
 372#define FLAG_RDLOAD     4
 373#define FLAG_RDPROMPT   8
 374    /* flags */
 375    WRITE_WORD(p, FLAG_READONLY | FLAG_RDLOAD | FLAG_RDPROMPT);
 376    /* rootdev */
 377    WRITE_WORD(p, (31 << 8) | 0);       /* /dev/mtdblock0 */
 378    /* video_num_cols */
 379    WRITE_WORD(p, 0);
 380    /* video_num_rows */
 381    WRITE_WORD(p, 0);
 382    /* video_x */
 383    WRITE_WORD(p, 0);
 384    /* video_y */
 385    WRITE_WORD(p, 0);
 386    /* memc_control_reg */
 387    WRITE_WORD(p, 0);
 388    /* unsigned char sounddefault */
 389    /* unsigned char adfsdrives */
 390    /* unsigned char bytes_per_char_h */
 391    /* unsigned char bytes_per_char_v */
 392    WRITE_WORD(p, 0);
 393    /* pages_in_bank[4] */
 394    WRITE_WORD(p, 0);
 395    WRITE_WORD(p, 0);
 396    WRITE_WORD(p, 0);
 397    WRITE_WORD(p, 0);
 398    /* pages_in_vram */
 399    WRITE_WORD(p, 0);
 400    /* initrd_start */
 401    if (initrd_size) {
 402        WRITE_WORD(p, info->initrd_start);
 403    } else {
 404        WRITE_WORD(p, 0);
 405    }
 406    /* initrd_size */
 407    WRITE_WORD(p, initrd_size);
 408    /* rd_start */
 409    WRITE_WORD(p, 0);
 410    /* system_rev */
 411    WRITE_WORD(p, 0);
 412    /* system_serial_low */
 413    WRITE_WORD(p, 0);
 414    /* system_serial_high */
 415    WRITE_WORD(p, 0);
 416    /* mem_fclk_21285 */
 417    WRITE_WORD(p, 0);
 418    /* zero unused fields */
 419    while (p < base + KERNEL_ARGS_ADDR + 256 + 1024) {
 420        WRITE_WORD(p, 0);
 421    }
 422    s = info->kernel_cmdline;
 423    if (s) {
 424        address_space_write(as, p, MEMTXATTRS_UNSPECIFIED, s, strlen(s) + 1);
 425    } else {
 426        WRITE_WORD(p, 0);
 427    }
 428}
 429
 430static int fdt_add_memory_node(void *fdt, uint32_t acells, hwaddr mem_base,
 431                               uint32_t scells, hwaddr mem_len,
 432                               int numa_node_id)
 433{
 434    char *nodename;
 435    int ret;
 436
 437    nodename = g_strdup_printf("/memory@%" PRIx64, mem_base);
 438    qemu_fdt_add_subnode(fdt, nodename);
 439    qemu_fdt_setprop_string(fdt, nodename, "device_type", "memory");
 440    ret = qemu_fdt_setprop_sized_cells(fdt, nodename, "reg", acells, mem_base,
 441                                       scells, mem_len);
 442    if (ret < 0) {
 443        goto out;
 444    }
 445
 446    /* only set the NUMA ID if it is specified */
 447    if (numa_node_id >= 0) {
 448        ret = qemu_fdt_setprop_cell(fdt, nodename,
 449                                    "numa-node-id", numa_node_id);
 450    }
 451out:
 452    g_free(nodename);
 453    return ret;
 454}
 455
 456static void fdt_add_psci_node(void *fdt)
 457{
 458    uint32_t cpu_suspend_fn;
 459    uint32_t cpu_off_fn;
 460    uint32_t cpu_on_fn;
 461    uint32_t migrate_fn;
 462    ARMCPU *armcpu = ARM_CPU(qemu_get_cpu(0));
 463    const char *psci_method;
 464    int64_t psci_conduit;
 465    int rc;
 466
 467    psci_conduit = object_property_get_int(OBJECT(armcpu),
 468                                           "psci-conduit",
 469                                           &error_abort);
 470    switch (psci_conduit) {
 471    case QEMU_PSCI_CONDUIT_DISABLED:
 472        return;
 473    case QEMU_PSCI_CONDUIT_HVC:
 474        psci_method = "hvc";
 475        break;
 476    case QEMU_PSCI_CONDUIT_SMC:
 477        psci_method = "smc";
 478        break;
 479    default:
 480        g_assert_not_reached();
 481    }
 482
 483    /*
 484     * If /psci node is present in provided DTB, assume that no fixup
 485     * is necessary and all PSCI configuration should be taken as-is
 486     */
 487    rc = fdt_path_offset(fdt, "/psci");
 488    if (rc >= 0) {
 489        return;
 490    }
 491
 492    qemu_fdt_add_subnode(fdt, "/psci");
 493    if (armcpu->psci_version == 2) {
 494        const char comp[] = "arm,psci-0.2\0arm,psci";
 495        qemu_fdt_setprop(fdt, "/psci", "compatible", comp, sizeof(comp));
 496
 497        cpu_off_fn = QEMU_PSCI_0_2_FN_CPU_OFF;
 498        if (arm_feature(&armcpu->env, ARM_FEATURE_AARCH64)) {
 499            cpu_suspend_fn = QEMU_PSCI_0_2_FN64_CPU_SUSPEND;
 500            cpu_on_fn = QEMU_PSCI_0_2_FN64_CPU_ON;
 501            migrate_fn = QEMU_PSCI_0_2_FN64_MIGRATE;
 502        } else {
 503            cpu_suspend_fn = QEMU_PSCI_0_2_FN_CPU_SUSPEND;
 504            cpu_on_fn = QEMU_PSCI_0_2_FN_CPU_ON;
 505            migrate_fn = QEMU_PSCI_0_2_FN_MIGRATE;
 506        }
 507    } else {
 508        qemu_fdt_setprop_string(fdt, "/psci", "compatible", "arm,psci");
 509
 510        cpu_suspend_fn = QEMU_PSCI_0_1_FN_CPU_SUSPEND;
 511        cpu_off_fn = QEMU_PSCI_0_1_FN_CPU_OFF;
 512        cpu_on_fn = QEMU_PSCI_0_1_FN_CPU_ON;
 513        migrate_fn = QEMU_PSCI_0_1_FN_MIGRATE;
 514    }
 515
 516    /* We adopt the PSCI spec's nomenclature, and use 'conduit' to refer
 517     * to the instruction that should be used to invoke PSCI functions.
 518     * However, the device tree binding uses 'method' instead, so that is
 519     * what we should use here.
 520     */
 521    qemu_fdt_setprop_string(fdt, "/psci", "method", psci_method);
 522
 523    qemu_fdt_setprop_cell(fdt, "/psci", "cpu_suspend", cpu_suspend_fn);
 524    qemu_fdt_setprop_cell(fdt, "/psci", "cpu_off", cpu_off_fn);
 525    qemu_fdt_setprop_cell(fdt, "/psci", "cpu_on", cpu_on_fn);
 526    qemu_fdt_setprop_cell(fdt, "/psci", "migrate", migrate_fn);
 527}
 528
 529int arm_load_dtb(hwaddr addr, const struct arm_boot_info *binfo,
 530                 hwaddr addr_limit, AddressSpace *as, MachineState *ms)
 531{
 532    void *fdt = NULL;
 533    int size, rc, n = 0;
 534    uint32_t acells, scells;
 535    unsigned int i;
 536    hwaddr mem_base, mem_len;
 537    char **node_path;
 538    Error *err = NULL;
 539
 540    if (binfo->dtb_filename) {
 541        char *filename;
 542        filename = qemu_find_file(QEMU_FILE_TYPE_BIOS, binfo->dtb_filename);
 543        if (!filename) {
 544            fprintf(stderr, "Couldn't open dtb file %s\n", binfo->dtb_filename);
 545            goto fail;
 546        }
 547
 548        fdt = load_device_tree(filename, &size);
 549        if (!fdt) {
 550            fprintf(stderr, "Couldn't open dtb file %s\n", filename);
 551            g_free(filename);
 552            goto fail;
 553        }
 554        g_free(filename);
 555    } else {
 556        fdt = binfo->get_dtb(binfo, &size);
 557        if (!fdt) {
 558            fprintf(stderr, "Board was unable to create a dtb blob\n");
 559            goto fail;
 560        }
 561    }
 562
 563    if (addr_limit > addr && size > (addr_limit - addr)) {
 564        /* Installing the device tree blob at addr would exceed addr_limit.
 565         * Whether this constitutes failure is up to the caller to decide,
 566         * so just return 0 as size, i.e., no error.
 567         */
 568        g_free(fdt);
 569        return 0;
 570    }
 571
 572    acells = qemu_fdt_getprop_cell(fdt, "/", "#address-cells", 0,
 573                                   false, &error_abort);
 574    scells = qemu_fdt_getprop_cell(fdt, "/", "#size-cells", 0,
 575                                   false, &error_abort);
 576    if (acells == 0 || scells == 0) {
 577        fprintf(stderr, "dtb file invalid (#address-cells or #size-cells 0)\n");
 578        goto fail;
 579    }
 580
 581    if (scells < 2 && binfo->ram_size >= 4 * GiB) {
 582        /* This is user error so deserves a friendlier error message
 583         * than the failure of setprop_sized_cells would provide
 584         */
 585        fprintf(stderr, "qemu: dtb file not compatible with "
 586                "RAM size > 4GB\n");
 587        goto fail;
 588    }
 589
 590    /* nop all root nodes matching /memory or /memory@unit-address */
 591    node_path = qemu_fdt_node_unit_path(fdt, "memory", &err);
 592    if (err) {
 593        error_report_err(err);
 594        goto fail;
 595    }
 596    while (node_path[n]) {
 597        if (g_str_has_prefix(node_path[n], "/memory")) {
 598            qemu_fdt_nop_node(fdt, node_path[n]);
 599        }
 600        n++;
 601    }
 602    g_strfreev(node_path);
 603
 604    if (ms->numa_state != NULL && ms->numa_state->num_nodes > 0) {
 605        mem_base = binfo->loader_start;
 606        for (i = 0; i < ms->numa_state->num_nodes; i++) {
 607            mem_len = ms->numa_state->nodes[i].node_mem;
 608            rc = fdt_add_memory_node(fdt, acells, mem_base,
 609                                     scells, mem_len, i);
 610            if (rc < 0) {
 611                fprintf(stderr, "couldn't add /memory@%"PRIx64" node\n",
 612                        mem_base);
 613                goto fail;
 614            }
 615
 616            mem_base += mem_len;
 617        }
 618    } else {
 619        rc = fdt_add_memory_node(fdt, acells, binfo->loader_start,
 620                                 scells, binfo->ram_size, -1);
 621        if (rc < 0) {
 622            fprintf(stderr, "couldn't add /memory@%"PRIx64" node\n",
 623                    binfo->loader_start);
 624            goto fail;
 625        }
 626    }
 627
 628    rc = fdt_path_offset(fdt, "/chosen");
 629    if (rc < 0) {
 630        qemu_fdt_add_subnode(fdt, "/chosen");
 631    }
 632
 633    if (ms->kernel_cmdline && *ms->kernel_cmdline) {
 634        rc = qemu_fdt_setprop_string(fdt, "/chosen", "bootargs",
 635                                     ms->kernel_cmdline);
 636        if (rc < 0) {
 637            fprintf(stderr, "couldn't set /chosen/bootargs\n");
 638            goto fail;
 639        }
 640    }
 641
 642    if (binfo->initrd_size) {
 643        rc = qemu_fdt_setprop_cell(fdt, "/chosen", "linux,initrd-start",
 644                                   binfo->initrd_start);
 645        if (rc < 0) {
 646            fprintf(stderr, "couldn't set /chosen/linux,initrd-start\n");
 647            goto fail;
 648        }
 649
 650        rc = qemu_fdt_setprop_cell(fdt, "/chosen", "linux,initrd-end",
 651                                   binfo->initrd_start + binfo->initrd_size);
 652        if (rc < 0) {
 653            fprintf(stderr, "couldn't set /chosen/linux,initrd-end\n");
 654            goto fail;
 655        }
 656    }
 657
 658    fdt_add_psci_node(fdt);
 659
 660    if (binfo->modify_dtb) {
 661        binfo->modify_dtb(binfo, fdt);
 662    }
 663
 664    qemu_fdt_dumpdtb(fdt, size);
 665
 666    /* Put the DTB into the memory map as a ROM image: this will ensure
 667     * the DTB is copied again upon reset, even if addr points into RAM.
 668     */
 669    rom_add_blob_fixed_as("dtb", fdt, size, addr, as);
 670
 671    g_free(fdt);
 672
 673    return size;
 674
 675fail:
 676    g_free(fdt);
 677    return -1;
 678}
 679
 680static void do_cpu_reset(void *opaque)
 681{
 682    ARMCPU *cpu = opaque;
 683    CPUState *cs = CPU(cpu);
 684    CPUARMState *env = &cpu->env;
 685    const struct arm_boot_info *info = env->boot_info;
 686
 687    cpu_reset(cs);
 688    if (info) {
 689        if (!info->is_linux) {
 690            int i;
 691            /* Jump to the entry point.  */
 692            uint64_t entry = info->entry;
 693
 694            switch (info->endianness) {
 695            case ARM_ENDIANNESS_LE:
 696                env->cp15.sctlr_el[1] &= ~SCTLR_E0E;
 697                for (i = 1; i < 4; ++i) {
 698                    env->cp15.sctlr_el[i] &= ~SCTLR_EE;
 699                }
 700                env->uncached_cpsr &= ~CPSR_E;
 701                break;
 702            case ARM_ENDIANNESS_BE8:
 703                env->cp15.sctlr_el[1] |= SCTLR_E0E;
 704                for (i = 1; i < 4; ++i) {
 705                    env->cp15.sctlr_el[i] |= SCTLR_EE;
 706                }
 707                env->uncached_cpsr |= CPSR_E;
 708                break;
 709            case ARM_ENDIANNESS_BE32:
 710                env->cp15.sctlr_el[1] |= SCTLR_B;
 711                break;
 712            case ARM_ENDIANNESS_UNKNOWN:
 713                break; /* Board's decision */
 714            default:
 715                g_assert_not_reached();
 716            }
 717
 718            cpu_set_pc(cs, entry);
 719        } else {
 720            /* If we are booting Linux then we need to check whether we are
 721             * booting into secure or non-secure state and adjust the state
 722             * accordingly.  Out of reset, ARM is defined to be in secure state
 723             * (SCR.NS = 0), we change that here if non-secure boot has been
 724             * requested.
 725             */
 726            if (arm_feature(env, ARM_FEATURE_EL3)) {
 727                /* AArch64 is defined to come out of reset into EL3 if enabled.
 728                 * If we are booting Linux then we need to adjust our EL as
 729                 * Linux expects us to be in EL2 or EL1.  AArch32 resets into
 730                 * SVC, which Linux expects, so no privilege/exception level to
 731                 * adjust.
 732                 */
 733                if (env->aarch64) {
 734                    env->cp15.scr_el3 |= SCR_RW;
 735                    if (arm_feature(env, ARM_FEATURE_EL2)) {
 736                        env->cp15.hcr_el2 |= HCR_RW;
 737                        env->pstate = PSTATE_MODE_EL2h;
 738                    } else {
 739                        env->pstate = PSTATE_MODE_EL1h;
 740                    }
 741                    /* AArch64 kernels never boot in secure mode */
 742                    assert(!info->secure_boot);
 743                    /* This hook is only supported for AArch32 currently:
 744                     * bootloader_aarch64[] will not call the hook, and
 745                     * the code above has already dropped us into EL2 or EL1.
 746                     */
 747                    assert(!info->secure_board_setup);
 748                }
 749
 750                if (arm_feature(env, ARM_FEATURE_EL2)) {
 751                    /* If we have EL2 then Linux expects the HVC insn to work */
 752                    env->cp15.scr_el3 |= SCR_HCE;
 753                }
 754
 755                /* Set to non-secure if not a secure boot */
 756                if (!info->secure_boot &&
 757                    (cs != first_cpu || !info->secure_board_setup)) {
 758                    /* Linux expects non-secure state */
 759                    env->cp15.scr_el3 |= SCR_NS;
 760                    /* Set NSACR.{CP11,CP10} so NS can access the FPU */
 761                    env->cp15.nsacr |= 3 << 10;
 762                }
 763            }
 764
 765            if (!env->aarch64 && !info->secure_boot &&
 766                arm_feature(env, ARM_FEATURE_EL2)) {
 767                /*
 768                 * This is an AArch32 boot not to Secure state, and
 769                 * we have Hyp mode available, so boot the kernel into
 770                 * Hyp mode. This is not how the CPU comes out of reset,
 771                 * so we need to manually put it there.
 772                 */
 773                cpsr_write(env, ARM_CPU_MODE_HYP, CPSR_M, CPSRWriteRaw);
 774            }
 775
 776            if (cs == first_cpu) {
 777                AddressSpace *as = arm_boot_address_space(cpu, info);
 778
 779                cpu_set_pc(cs, info->loader_start);
 780                cs->halt_pin = false;
 781                cpu_reset_interrupt(cs, CPU_INTERRUPT_HALT);
 782
 783                if (!have_dtb(info)) {
 784                    if (old_param) {
 785                        set_kernel_args_old(info, as);
 786                    } else {
 787                        set_kernel_args(info, as);
 788                    }
 789                }
 790            } else {
 791                info->secondary_cpu_reset_hook(cpu, info);
 792            }
 793        }
 794        arm_rebuild_hflags(env);
 795    }
 796}
 797
 798/**
 799 * load_image_to_fw_cfg() - Load an image file into an fw_cfg entry identified
 800 *                          by key.
 801 * @fw_cfg:         The firmware config instance to store the data in.
 802 * @size_key:       The firmware config key to store the size of the loaded
 803 *                  data under, with fw_cfg_add_i32().
 804 * @data_key:       The firmware config key to store the loaded data under,
 805 *                  with fw_cfg_add_bytes().
 806 * @image_name:     The name of the image file to load. If it is NULL, the
 807 *                  function returns without doing anything.
 808 * @try_decompress: Whether the image should be decompressed (gunzipped) before
 809 *                  adding it to fw_cfg. If decompression fails, the image is
 810 *                  loaded as-is.
 811 *
 812 * In case of failure, the function prints an error message to stderr and the
 813 * process exits with status 1.
 814 */
 815static void load_image_to_fw_cfg(FWCfgState *fw_cfg, uint16_t size_key,
 816                                 uint16_t data_key, const char *image_name,
 817                                 bool try_decompress)
 818{
 819    size_t size = -1;
 820    uint8_t *data;
 821
 822    if (image_name == NULL) {
 823        return;
 824    }
 825
 826    if (try_decompress) {
 827        size = load_image_gzipped_buffer(image_name,
 828                                         LOAD_IMAGE_MAX_GUNZIP_BYTES, &data);
 829    }
 830
 831    if (size == (size_t)-1) {
 832        gchar *contents;
 833        gsize length;
 834
 835        if (!g_file_get_contents(image_name, &contents, &length, NULL)) {
 836            error_report("failed to load \"%s\"", image_name);
 837            exit(1);
 838        }
 839        size = length;
 840        data = (uint8_t *)contents;
 841    }
 842
 843    fw_cfg_add_i32(fw_cfg, size_key, size);
 844    fw_cfg_add_bytes(fw_cfg, data_key, data, size);
 845}
 846
 847static int do_arm_linux_init(Object *obj, void *opaque)
 848{
 849    if (object_dynamic_cast(obj, TYPE_ARM_LINUX_BOOT_IF)) {
 850        ARMLinuxBootIf *albif = ARM_LINUX_BOOT_IF(obj);
 851        ARMLinuxBootIfClass *albifc = ARM_LINUX_BOOT_IF_GET_CLASS(obj);
 852        struct arm_boot_info *info = opaque;
 853
 854        if (albifc->arm_linux_init) {
 855            albifc->arm_linux_init(albif, info->secure_boot);
 856        }
 857    }
 858    return 0;
 859}
 860
 861static int64_t arm_load_elf(struct arm_boot_info *info, uint64_t *pentry,
 862                            uint64_t *lowaddr, uint64_t *highaddr,
 863                            int elf_machine, AddressSpace *as)
 864{
 865    bool elf_is64;
 866    union {
 867        Elf32_Ehdr h32;
 868        Elf64_Ehdr h64;
 869    } elf_header;
 870    int data_swab = 0;
 871    bool big_endian;
 872    int64_t ret = -1;
 873    Error *err = NULL;
 874
 875
 876    load_elf_hdr(info->kernel_filename, &elf_header, &elf_is64, &err);
 877    if (err) {
 878        error_free(err);
 879        return ret;
 880    }
 881
 882    if (elf_is64) {
 883        big_endian = elf_header.h64.e_ident[EI_DATA] == ELFDATA2MSB;
 884        info->endianness = big_endian ? ARM_ENDIANNESS_BE8
 885                                      : ARM_ENDIANNESS_LE;
 886    } else {
 887        big_endian = elf_header.h32.e_ident[EI_DATA] == ELFDATA2MSB;
 888        if (big_endian) {
 889            if (bswap32(elf_header.h32.e_flags) & EF_ARM_BE8) {
 890                info->endianness = ARM_ENDIANNESS_BE8;
 891            } else {
 892                info->endianness = ARM_ENDIANNESS_BE32;
 893                /* In BE32, the CPU has a different view of the per-byte
 894                 * address map than the rest of the system. BE32 ELF files
 895                 * are organised such that they can be programmed through
 896                 * the CPU's per-word byte-reversed view of the world. QEMU
 897                 * however loads ELF files independently of the CPU. So
 898                 * tell the ELF loader to byte reverse the data for us.
 899                 */
 900                data_swab = 2;
 901            }
 902        } else {
 903            info->endianness = ARM_ENDIANNESS_LE;
 904        }
 905    }
 906
 907    ret = load_elf_as(info->kernel_filename, NULL, NULL, NULL,
 908                      pentry, lowaddr, highaddr, NULL, big_endian, elf_machine,
 909                      1, data_swab, as);
 910    if (ret <= 0) {
 911        /* The header loaded but the image didn't */
 912        exit(1);
 913    }
 914
 915    return ret;
 916}
 917
 918static uint64_t load_aarch64_image(const char *filename, hwaddr mem_base,
 919                                   hwaddr *entry, AddressSpace *as)
 920{
 921    hwaddr kernel_load_offset = KERNEL64_LOAD_ADDR;
 922    uint64_t kernel_size = 0;
 923    uint8_t *buffer;
 924    int size;
 925
 926    /* On aarch64, it's the bootloader's job to uncompress the kernel. */
 927    size = load_image_gzipped_buffer(filename, LOAD_IMAGE_MAX_GUNZIP_BYTES,
 928                                     &buffer);
 929
 930    if (size < 0) {
 931        gsize len;
 932
 933        /* Load as raw file otherwise */
 934        if (!g_file_get_contents(filename, (char **)&buffer, &len, NULL)) {
 935            return -1;
 936        }
 937        size = len;
 938    }
 939
 940    /* check the arm64 magic header value -- very old kernels may not have it */
 941    if (size > ARM64_MAGIC_OFFSET + 4 &&
 942        memcmp(buffer + ARM64_MAGIC_OFFSET, "ARM\x64", 4) == 0) {
 943        uint64_t hdrvals[2];
 944
 945        /* The arm64 Image header has text_offset and image_size fields at 8 and
 946         * 16 bytes into the Image header, respectively. The text_offset field
 947         * is only valid if the image_size is non-zero.
 948         */
 949        memcpy(&hdrvals, buffer + ARM64_TEXT_OFFSET_OFFSET, sizeof(hdrvals));
 950
 951        kernel_size = le64_to_cpu(hdrvals[1]);
 952
 953        if (kernel_size != 0) {
 954            kernel_load_offset = le64_to_cpu(hdrvals[0]);
 955
 956            /*
 957             * We write our startup "bootloader" at the very bottom of RAM,
 958             * so that bit can't be used for the image. Luckily the Image
 959             * format specification is that the image requests only an offset
 960             * from a 2MB boundary, not an absolute load address. So if the
 961             * image requests an offset that might mean it overlaps with the
 962             * bootloader, we can just load it starting at 2MB+offset rather
 963             * than 0MB + offset.
 964             */
 965            if (kernel_load_offset < BOOTLOADER_MAX_SIZE) {
 966                kernel_load_offset += 2 * MiB;
 967            }
 968        }
 969    }
 970
 971    /*
 972     * Kernels before v3.17 don't populate the image_size field, and
 973     * raw images have no header. For those our best guess at the size
 974     * is the size of the Image file itself.
 975     */
 976    if (kernel_size == 0) {
 977        kernel_size = size;
 978    }
 979
 980    *entry = mem_base + kernel_load_offset;
 981    rom_add_blob_fixed_as(filename, buffer, size, *entry, as);
 982
 983    g_free(buffer);
 984
 985    return kernel_size;
 986}
 987
 988static void arm_setup_direct_kernel_boot(ARMCPU *cpu,
 989                                         struct arm_boot_info *info)
 990{
 991    /* Set up for a direct boot of a kernel image file. */
 992    CPUState *cs;
 993    AddressSpace *as = arm_boot_address_space(cpu, info);
 994    int kernel_size;
 995    int initrd_size;
 996    int is_linux = 0;
 997    uint64_t elf_entry;
 998    /* Addresses of first byte used and first byte not used by the image */
 999    uint64_t image_low_addr = 0, image_high_addr = 0;
1000    int elf_machine;
1001    hwaddr entry;
1002    static const ARMInsnFixup *primary_loader;
1003    uint64_t ram_end = info->loader_start + info->ram_size;
1004
1005    if (arm_feature(&cpu->env, ARM_FEATURE_AARCH64)) {
1006        primary_loader = bootloader_aarch64;
1007        elf_machine = EM_AARCH64;
1008    } else {
1009        primary_loader = bootloader;
1010        if (!info->write_board_setup) {
1011            primary_loader += BOOTLOADER_NO_BOARD_SETUP_OFFSET;
1012        }
1013        elf_machine = EM_ARM;
1014    }
1015
1016    info->dtb_filename = qemu_opt_get(qemu_get_machine_opts(), "dtb");
1017    is_linux = object_property_get_bool(OBJECT(qdev_get_machine()),
1018                                        "linux", NULL);
1019
1020    if (!info->secondary_cpu_reset_hook) {
1021        info->secondary_cpu_reset_hook = default_reset_secondary;
1022    }
1023    if (!info->write_secondary_boot) {
1024        info->write_secondary_boot = default_write_secondary;
1025    }
1026
1027    if (info->nb_cpus == 0)
1028        info->nb_cpus = 1;
1029
1030    /* Assume that raw images are linux kernels, and ELF images are not.  */
1031    kernel_size = arm_load_elf(info, &elf_entry, &image_low_addr,
1032                               &image_high_addr, elf_machine, as);
1033    if (kernel_size > 0 && have_dtb(info)) {
1034        /*
1035         * If there is still some room left at the base of RAM, try and put
1036         * the DTB there like we do for images loaded with -bios or -pflash.
1037         */
1038        if (image_low_addr > info->loader_start
1039            || image_high_addr < info->loader_start) {
1040            /*
1041             * Set image_low_addr as address limit for arm_load_dtb if it may be
1042             * pointing into RAM, otherwise pass '0' (no limit)
1043             */
1044            if (image_low_addr < info->loader_start) {
1045                image_low_addr = 0;
1046            }
1047            info->dtb_start = info->loader_start;
1048            info->dtb_limit = image_low_addr;
1049        }
1050    }
1051    entry = elf_entry;
1052    if (kernel_size < 0) {
1053        uint64_t loadaddr = info->loader_start + KERNEL_NOLOAD_ADDR;
1054        kernel_size = load_uimage_as(info->kernel_filename, &entry, &loadaddr,
1055                                     &is_linux, NULL, NULL, as);
1056        if (kernel_size >= 0) {
1057            image_low_addr = loadaddr;
1058            image_high_addr = image_low_addr + kernel_size;
1059        }
1060    }
1061    if (arm_feature(&cpu->env, ARM_FEATURE_AARCH64) && kernel_size < 0) {
1062        kernel_size = load_aarch64_image(info->kernel_filename,
1063                                         info->loader_start, &entry, as);
1064        is_linux = 1;
1065        if (kernel_size >= 0) {
1066            image_low_addr = entry;
1067            image_high_addr = image_low_addr + kernel_size;
1068        }
1069    } else if (kernel_size < 0) {
1070        /* 32-bit ARM */
1071        entry = info->loader_start + KERNEL_LOAD_ADDR;
1072        kernel_size = load_image_targphys_as(info->kernel_filename, entry,
1073                                             ram_end - KERNEL_LOAD_ADDR, as);
1074        is_linux = 1;
1075        if (kernel_size >= 0) {
1076            image_low_addr = entry;
1077            image_high_addr = image_low_addr + kernel_size;
1078        }
1079    }
1080    if (kernel_size < 0) {
1081        error_report("could not load kernel '%s'", info->kernel_filename);
1082        exit(1);
1083    }
1084
1085    if (kernel_size > info->ram_size) {
1086        error_report("kernel '%s' is too large to fit in RAM "
1087                     "(kernel size %d, RAM size %" PRId64 ")",
1088                     info->kernel_filename, kernel_size, info->ram_size);
1089        exit(1);
1090    }
1091
1092    info->entry = entry;
1093
1094    /*
1095     * We want to put the initrd far enough into RAM that when the
1096     * kernel is uncompressed it will not clobber the initrd. However
1097     * on boards without much RAM we must ensure that we still leave
1098     * enough room for a decent sized initrd, and on boards with large
1099     * amounts of RAM we must avoid the initrd being so far up in RAM
1100     * that it is outside lowmem and inaccessible to the kernel.
1101     * So for boards with less  than 256MB of RAM we put the initrd
1102     * halfway into RAM, and for boards with 256MB of RAM or more we put
1103     * the initrd at 128MB.
1104     * We also refuse to put the initrd somewhere that will definitely
1105     * overlay the kernel we just loaded, though for kernel formats which
1106     * don't tell us their exact size (eg self-decompressing 32-bit kernels)
1107     * we might still make a bad choice here.
1108     */
1109    info->initrd_start = info->loader_start +
1110        MIN(info->ram_size / 2, 128 * MiB);
1111    if (image_high_addr) {
1112        info->initrd_start = MAX(info->initrd_start, image_high_addr);
1113    }
1114    info->initrd_start = TARGET_PAGE_ALIGN(info->initrd_start);
1115
1116    if (is_linux) {
1117        uint32_t fixupcontext[FIXUP_MAX];
1118
1119        if (info->initrd_filename) {
1120
1121            if (info->initrd_start >= ram_end) {
1122                error_report("not enough space after kernel to load initrd");
1123                exit(1);
1124            }
1125
1126            initrd_size = load_ramdisk_as(info->initrd_filename,
1127                                          info->initrd_start,
1128                                          ram_end - info->initrd_start, as);
1129            if (initrd_size < 0) {
1130                initrd_size = load_image_targphys_as(info->initrd_filename,
1131                                                     info->initrd_start,
1132                                                     ram_end -
1133                                                     info->initrd_start,
1134                                                     as);
1135            }
1136            if (initrd_size < 0) {
1137                error_report("could not load initrd '%s'",
1138                             info->initrd_filename);
1139                exit(1);
1140            }
1141            if (info->initrd_start + initrd_size > ram_end) {
1142                error_report("could not load initrd '%s': "
1143                             "too big to fit into RAM after the kernel",
1144                             info->initrd_filename);
1145                exit(1);
1146            }
1147        } else {
1148            initrd_size = 0;
1149        }
1150        info->initrd_size = initrd_size;
1151
1152        fixupcontext[FIXUP_BOARDID] = info->board_id;
1153        fixupcontext[FIXUP_BOARD_SETUP] = info->board_setup_addr;
1154
1155        if (info->fdt && fdt_path_offset(info->fdt, "/psci") > 0) {
1156            /* There is a PSCI node in the DTS and the image being loaded is a
1157             * Linux image. Therefore tell QEMU to handle the PSCI calls as
1158             * ATF is not loaded.
1159             */
1160            char *method = NULL;
1161
1162            method = qemu_fdt_getprop_string(info->fdt, "/psci", "method",
1163                                             0, false, NULL);
1164
1165            for (cs = CPU(cpu); cs; cs = CPU_NEXT(cs)) {
1166                if (!strcmp(method, "smc")) {
1167                    cpu->psci_conduit = QEMU_PSCI_CONDUIT_SMC;
1168                } else if (!strcmp(method, "hvc")) {
1169                    cpu->psci_conduit = QEMU_PSCI_CONDUIT_HVC;
1170                }
1171            }
1172
1173            g_free(method);
1174        }
1175
1176        /*
1177         * for device tree boot, we pass the DTB directly in r2. Otherwise
1178         * we point to the kernel args.
1179         */
1180        if (have_dtb(info)) {
1181            hwaddr align;
1182
1183            if (elf_machine == EM_AARCH64) {
1184                /*
1185                 * Some AArch64 kernels on early bootup map the fdt region as
1186                 *
1187                 *   [ ALIGN_DOWN(fdt, 2MB) ... ALIGN_DOWN(fdt, 2MB) + 2MB ]
1188                 *
1189                 * Let's play safe and prealign it to 2MB to give us some space.
1190                 */
1191                align = 2 * MiB;
1192            } else {
1193                /*
1194                 * Some 32bit kernels will trash anything in the 4K page the
1195                 * initrd ends in, so make sure the DTB isn't caught up in that.
1196                 */
1197                align = 4 * KiB;
1198            }
1199
1200            /* Place the DTB after the initrd in memory with alignment. */
1201            info->dtb_start = QEMU_ALIGN_UP(info->initrd_start + initrd_size,
1202                                           align);
1203            if (info->dtb_start >= ram_end) {
1204                error_report("Not enough space for DTB after kernel/initrd");
1205                exit(1);
1206            }
1207            fixupcontext[FIXUP_ARGPTR_LO] = info->dtb_start;
1208            fixupcontext[FIXUP_ARGPTR_HI] = info->dtb_start >> 32;
1209        } else {
1210            fixupcontext[FIXUP_ARGPTR_LO] =
1211                info->loader_start + KERNEL_ARGS_ADDR;
1212            fixupcontext[FIXUP_ARGPTR_HI] =
1213                (info->loader_start + KERNEL_ARGS_ADDR) >> 32;
1214            if (info->ram_size >= 4 * GiB) {
1215                error_report("RAM size must be less than 4GB to boot"
1216                             " Linux kernel using ATAGS (try passing a device tree"
1217                             " using -dtb)");
1218                exit(1);
1219            }
1220        }
1221        fixupcontext[FIXUP_ENTRYPOINT_LO] = entry;
1222        fixupcontext[FIXUP_ENTRYPOINT_HI] = entry >> 32;
1223
1224        write_bootloader("bootloader", info->loader_start,
1225                         primary_loader, fixupcontext, as);
1226
1227        if (info->nb_cpus > 1) {
1228            info->write_secondary_boot(cpu, info);
1229        }
1230        if (info->write_board_setup) {
1231            info->write_board_setup(cpu, info);
1232        }
1233
1234        /*
1235         * Notify devices which need to fake up firmware initialization
1236         * that we're doing a direct kernel boot.
1237         */
1238        object_child_foreach_recursive(object_get_root(),
1239                                       do_arm_linux_init, info);
1240    }
1241    info->is_linux = is_linux;
1242
1243    for (cs = first_cpu; cs; cs = CPU_NEXT(cs)) {
1244        ARM_CPU(cs)->env.boot_info = info;
1245    }
1246}
1247
1248static void arm_setup_firmware_boot(ARMCPU *cpu, struct arm_boot_info *info)
1249{
1250    /* Set up for booting firmware (which might load a kernel via fw_cfg) */
1251
1252    if (have_dtb(info)) {
1253        /*
1254         * If we have a device tree blob, but no kernel to supply it to (or
1255         * the kernel is supposed to be loaded by the bootloader), copy the
1256         * DTB to the base of RAM for the bootloader to pick up.
1257         */
1258        info->dtb_start = info->loader_start;
1259    }
1260
1261    if (info->kernel_filename) {
1262        FWCfgState *fw_cfg;
1263        bool try_decompressing_kernel;
1264
1265        fw_cfg = fw_cfg_find();
1266        try_decompressing_kernel = arm_feature(&cpu->env,
1267                                               ARM_FEATURE_AARCH64);
1268
1269        /*
1270         * Expose the kernel, the command line, and the initrd in fw_cfg.
1271         * We don't process them here at all, it's all left to the
1272         * firmware.
1273         */
1274        load_image_to_fw_cfg(fw_cfg,
1275                             FW_CFG_KERNEL_SIZE, FW_CFG_KERNEL_DATA,
1276                             info->kernel_filename,
1277                             try_decompressing_kernel);
1278        load_image_to_fw_cfg(fw_cfg,
1279                             FW_CFG_INITRD_SIZE, FW_CFG_INITRD_DATA,
1280                             info->initrd_filename, false);
1281
1282        if (info->kernel_cmdline) {
1283            fw_cfg_add_i32(fw_cfg, FW_CFG_CMDLINE_SIZE,
1284                           strlen(info->kernel_cmdline) + 1);
1285            fw_cfg_add_string(fw_cfg, FW_CFG_CMDLINE_DATA,
1286                              info->kernel_cmdline);
1287        }
1288    }
1289
1290    /*
1291     * We will start from address 0 (typically a boot ROM image) in the
1292     * same way as hardware. Leave env->boot_info NULL, so that
1293     * do_cpu_reset() knows it does not need to alter the PC on reset.
1294     */
1295}
1296
1297void arm_load_kernel(ARMCPU *cpu, MachineState *ms, struct arm_boot_info *info)
1298{
1299    CPUState *cs;
1300    AddressSpace *as = arm_boot_address_space(cpu, info);
1301
1302    /*
1303     * CPU objects (unlike devices) are not automatically reset on system
1304     * reset, so we must always register a handler to do so. If we're
1305     * actually loading a kernel, the handler is also responsible for
1306     * arranging that we start it correctly.
1307     */
1308    for (cs = first_cpu; cs; cs = CPU_NEXT(cs)) {
1309        qemu_register_reset(do_cpu_reset, ARM_CPU(cs));
1310    }
1311
1312    /*
1313     * The board code is not supposed to set secure_board_setup unless
1314     * running its code in secure mode is actually possible, and KVM
1315     * doesn't support secure.
1316     */
1317    assert(!(info->secure_board_setup && kvm_enabled()));
1318    info->kernel_filename = ms->kernel_filename;
1319    info->kernel_cmdline = ms->kernel_cmdline;
1320    info->initrd_filename = ms->initrd_filename;
1321    info->dtb_filename = qemu_opt_get(qemu_get_machine_opts(), "dtb");
1322    info->dtb_limit = 0;
1323
1324    /* Load the kernel.  */
1325    if (!info->kernel_filename || info->firmware_loaded) {
1326        arm_setup_firmware_boot(cpu, info);
1327    } else {
1328        arm_setup_direct_kernel_boot(cpu, info);
1329    }
1330
1331    if (!info->skip_dtb_autoload && have_dtb(info)) {
1332        if (arm_load_dtb(info->dtb_start, info, info->dtb_limit, as, ms) < 0) {
1333            exit(1);
1334        }
1335    }
1336}
1337
1338static const TypeInfo arm_linux_boot_if_info = {
1339    .name = TYPE_ARM_LINUX_BOOT_IF,
1340    .parent = TYPE_INTERFACE,
1341    .class_size = sizeof(ARMLinuxBootIfClass),
1342};
1343
1344static void arm_linux_boot_register_types(void)
1345{
1346    type_register_static(&arm_linux_boot_if_info);
1347}
1348
1349type_init(arm_linux_boot_register_types)
1350