qemu/tests/tcg/mips/user/ase/msa/fixed-multiply/test_msa_msub_q_h.c
<<
>>
Prefs
   1/*
   2 *  Test program for MSA instruction MSUB_Q.H
   3 *
   4 *  Copyright (C) 2019  Wave Computing, Inc.
   5 *  Copyright (C) 2019  Aleksandar Markovic <amarkovic@wavecomp.com>
   6 *  Copyright (C) 2019  RT-RK Computer Based Systems LLC
   7 *  Copyright (C) 2019  Mateja Marjanovic <mateja.marjanovic@rt-rk.com>
   8 *
   9 *  This program is free software: you can redistribute it and/or modify
  10 *  it under the terms of the GNU General Public License as published by
  11 *  the Free Software Foundation, either version 2 of the License, or
  12 *  (at your option) any later version.
  13 *
  14 *  This program is distributed in the hope that it will be useful,
  15 *  but WITHOUT ANY WARRANTY; without even the implied warranty of
  16 *  MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
  17 *  GNU General Public License for more details.
  18 *
  19 *  You should have received a copy of the GNU General Public License
  20 *  along with this program.  If not, see <https://www.gnu.org/licenses/>.
  21 *
  22 */
  23
  24#include <sys/time.h>
  25#include <stdint.h>
  26
  27#include "../../../../include/wrappers_msa.h"
  28#include "../../../../include/test_inputs_128.h"
  29#include "../../../../include/test_utils_128.h"
  30
  31#define TEST_COUNT_TOTAL (                                                \
  32            (PATTERN_INPUTS_SHORT_COUNT) * (PATTERN_INPUTS_SHORT_COUNT) + \
  33            3 * (RANDOM_INPUTS_SHORT_COUNT) * (RANDOM_INPUTS_SHORT_COUNT))
  34
  35
  36int32_t main(void)
  37{
  38    char *isa_ase_name = "MSA";
  39    char *group_name = "Fixed Multiply";
  40    char *instruction_name =  "MSUB_Q.H";
  41    int32_t ret;
  42    uint32_t i, j;
  43    struct timeval start, end;
  44    double elapsed_time;
  45
  46    uint64_t b128_result[TEST_COUNT_TOTAL][2];
  47    uint64_t b128_expect[TEST_COUNT_TOTAL][2] = {
  48        { 0xffffffffffffffffULL, 0xffffffffffffffffULL, },    /*   0  */
  49        { 0xffffffffffffffffULL, 0xffffffffffffffffULL, },
  50        { 0xfffefffefffefffeULL, 0xfffefffefffefffeULL, },
  51        { 0xfffefffefffefffeULL, 0xfffefffefffefffeULL, },
  52        { 0xfffdfffdfffdfffdULL, 0xfffdfffdfffdfffdULL, },
  53        { 0xfffdfffdfffdfffdULL, 0xfffdfffdfffdfffdULL, },
  54        { 0xfffcfffdfffcfffcULL, 0xfffdfffcfffcfffdULL, },
  55        { 0xfffcfffcfffcfffcULL, 0xfffcfffcfffcfffcULL, },
  56        { 0xfffcfffcfffcfffcULL, 0xfffcfffcfffcfffcULL, },    /*   8  */
  57        { 0xfffcfffcfffcfffcULL, 0xfffcfffcfffcfffcULL, },
  58        { 0xfffcfffcfffcfffcULL, 0xfffcfffcfffcfffcULL, },
  59        { 0xfffcfffcfffcfffcULL, 0xfffcfffcfffcfffcULL, },
  60        { 0xfffcfffcfffcfffcULL, 0xfffcfffcfffcfffcULL, },
  61        { 0xfffcfffcfffcfffcULL, 0xfffcfffcfffcfffcULL, },
  62        { 0xfffcfffcfffcfffcULL, 0xfffcfffcfffcfffcULL, },
  63        { 0xfffcfffcfffcfffcULL, 0xfffcfffcfffcfffcULL, },
  64        { 0xfffbfffbfffbfffbULL, 0xfffbfffbfffbfffbULL, },    /*  16  */
  65        { 0xfffbfffbfffbfffbULL, 0xfffbfffbfffbfffbULL, },
  66        { 0xc716c716c716c716ULL, 0xc716c716c716c716ULL, },
  67        { 0xfff9fff9fff9fff9ULL, 0xfff9fff9fff9fff9ULL, },
  68        { 0xddd6ddd6ddd6ddd6ULL, 0xddd6ddd6ddd6ddd6ULL, },
  69        { 0xfff8fff8fff8fff8ULL, 0xfff8fff8fff8fff8ULL, },
  70        { 0xed0125e4b41ced01ULL, 0x25e4b41ced0125e4ULL, },
  71        { 0xfff7fff6fff6fff7ULL, 0xfff6fff6fff7fff6ULL, },
  72        { 0xfff7fff6fff6fff7ULL, 0xfff6fff6fff7fff6ULL, },    /*  24  */
  73        { 0xfff7fff6fff6fff7ULL, 0xfff6fff6fff7fff6ULL, },
  74        { 0x38da38d938d938daULL, 0x38d938d938da38d9ULL, },
  75        { 0xfff6fff5fff5fff6ULL, 0xfff5fff5fff6fff5ULL, },
  76        { 0x2218221722172218ULL, 0x2217221722182217ULL, },
  77        { 0xfff6fff5fff5fff6ULL, 0xfff5fff5fff6fff5ULL, },
  78        { 0x12ecda084bcf12ecULL, 0xda084bcf12ecda08ULL, },
  79        { 0xfff6fff5fff5fff6ULL, 0xfff5fff5fff6fff5ULL, },
  80        { 0xfff5fff4fff4fff5ULL, 0xfff4fff4fff5fff4ULL, },    /*  32  */
  81        { 0xfff5fff4fff4fff5ULL, 0xfff4fff4fff5fff4ULL, },
  82        { 0xddd2ddd1ddd1ddd2ULL, 0xddd1ddd1ddd2ddd1ULL, },
  83        { 0xfff4fff3fff3fff4ULL, 0xfff3fff3fff4fff3ULL, },
  84        { 0xeb78eb77eb77eb78ULL, 0xeb77eb77eb78eb77ULL, },
  85        { 0xfff3fff2fff2fff3ULL, 0xfff2fff2fff3fff2ULL, },
  86        { 0xf49216b3d26ef492ULL, 0x16b3d26ef49216b3ULL, },
  87        { 0xfff2fff1fff1fff2ULL, 0xfff1fff1fff2fff1ULL, },
  88        { 0xfff2fff1fff1fff2ULL, 0xfff1fff1fff2fff1ULL, },    /*  40  */
  89        { 0xfff2fff1fff1fff2ULL, 0xfff1fff1fff2fff1ULL, },
  90        { 0x2214221322132214ULL, 0x2213221322142213ULL, },
  91        { 0xfff2fff1fff1fff2ULL, 0xfff1fff1fff2fff1ULL, },
  92        { 0x146d146c146c146dULL, 0x146c146c146d146cULL, },
  93        { 0xfff2fff1fff1fff2ULL, 0xfff1fff1fff2fff1ULL, },
  94        { 0x0b52e92f2d740b52ULL, 0xe92f2d740b52e92fULL, },
  95        { 0xfff1fff0fff1fff1ULL, 0xfff0fff1fff1fff0ULL, },
  96        { 0xfff0fff0fff0fff0ULL, 0xfff0fff0fff0fff0ULL, },    /*  48  */
  97        { 0xfff0fff0fff0fff0ULL, 0xfff0fff0fff0fff0ULL, },
  98        { 0xecf925dcb414ecf9ULL, 0x25dcb414ecf925dcULL, },
  99        { 0xffefffefffeeffefULL, 0xffefffeeffefffefULL, },
 100        { 0xf48e16b0d26af48eULL, 0x16b0d26af48e16b0ULL, },
 101        { 0xffeeffeeffedffeeULL, 0xffeeffedffeeffeeULL, },
 102        { 0xf99be6a59ac8f99bULL, 0xe6a59ac8f99be6a5ULL, },
 103        { 0xffedffedffebffedULL, 0xffedffebffedffedULL, },
 104        { 0xffedffecffebffedULL, 0xffecffebffedffecULL, },    /*  56  */
 105        { 0xffedffecffebffedULL, 0xffecffebffedffecULL, },
 106        { 0x12e3d9fe4bc512e3ULL, 0xd9fe4bc512e3d9feULL, },
 107        { 0xffedffebffebffedULL, 0xffebffebffedffebULL, },
 108        { 0x0b4de9292d6e0b4dULL, 0xe9292d6e0b4de929ULL, },
 109        { 0xffecffeaffebffecULL, 0xffeaffebffecffeaULL, },
 110        { 0x063e1932650e063eULL, 0x1932650e063e1932ULL, },
 111        { 0xffecffe8ffebffecULL, 0xffe8ffebffecffe8ULL, },
 112        { 0x9032faf1f32dc724ULL, 0xd37cfee8ffe7cdf6ULL, },    /*  64  */
 113        { 0x8c37fb04dab3ed15ULL, 0xc8500506002701cbULL, },
 114        { 0x8000eb00f0d83aacULL, 0xb0d70a15ff2518f4ULL, },
 115        { 0xe8edef64d3204e73ULL, 0xf40714a9fe1d069aULL, },
 116        { 0xe4f2ef77baa67464ULL, 0xe8db1ac7fe5d3a6fULL, },
 117        { 0xe4cdef768ba25b09ULL, 0xe60bf5b1fad604a2ULL, },
 118        { 0xe204efb4b62c272fULL, 0xe023d70208eaec98ULL, },
 119        { 0xe5c0efa2800019f7ULL, 0xf10996de174fffa3ULL, },
 120        { 0x9799df9e9625678eULL, 0xd9909bed164d16ccULL, },    /*  72  */
 121        { 0x94d0dfdcc0af33b4ULL, 0xd3a880002461fec2ULL, },
 122        { 0x8000ac2c9a31c9abULL, 0xc7408000ec28f404ULL, },
 123        { 0xc964ba57cdd7aea3ULL, 0xeac18000b2aafc86ULL, },
 124        { 0x3251bebbb01fc26aULL, 0x2df18a94b1a2ea2cULL, },
 125        { 0x360dbea98000b532ULL, 0x3ed78000c007fd37ULL, },
 126        { 0x7f71ccd4b3a69a2aULL, 0x62588000868905b9ULL, },
 127        { 0x1ce6c8f180009346ULL, 0xfcb580008000fefbULL, },
 128        { 0x37e5be19a862dbafULL, 0xfea58b5e8000fe57ULL, },    /*  80  */
 129        { 0x39c0be4bdd7bcb85ULL, 0xfed88000953fff6aULL, },
 130        { 0x5f7d948aca8d9bc1ULL, 0xff3480008000ff95ULL, },
 131        { 0x0bb4a742f1e1847fULL, 0xfe7e80008000ff7cULL, },
 132        { 0x16a395c8f655d6c0ULL, 0xff618b5e8000ff29ULL, },
 133        { 0x1763961afc30c464ULL, 0xff788000953fffb4ULL, },
 134        { 0x26ab8000fa188e23ULL, 0xffa280008000ffcaULL, },
 135        { 0x04bd964dfe708000ULL, 0xff4e80008000ffbdULL, },
 136        { 0x092a817dfeeed540ULL, 0xffb68b5e8000ff93ULL, },    /*  88  */
 137        { 0x097881deff94c239ULL, 0xffc08000953fffd9ULL, },
 138        { 0x0fa88000ff5889feULL, 0xffd380008000ffe4ULL, },
 139        { 0x01eb964dffd38000ULL, 0xffaa80008000ffddULL, },
 140        { 0x03b5817dffe1d540ULL, 0xffdc8b5e8000ffc7ULL, },
 141        { 0x03d481defff3c239ULL, 0xffe18000953fffebULL, },
 142        { 0x06548000ffeb89feULL, 0xffea80008000fff1ULL, },
 143        { 0x00c6964dfffa8000ULL, 0xffd680008000ffedULL, },
 144        { 0x017e817dfffbd540ULL, 0xffee8b5e8000ffe1ULL, },    /*  96  */
 145        { 0x02e28000fffcf1b8ULL, 0xfff895b98000ffcdULL, },
 146        { 0x05938000fffdfb3aULL, 0xfffc9f298000ffadULL, },
 147        { 0x0ac88000fffdfe67ULL, 0xfffea7c28000ff79ULL, },
 148        { 0x0b238063fffefdb0ULL, 0xfffe8000953fffd0ULL, },
 149        { 0x0b8180c5fffffca8ULL, 0xfffe8000a6f7ffefULL, },
 150        { 0x0be28127fffffb2bULL, 0xfffe8000b5befffaULL, },
 151        { 0x0c478189fffff904ULL, 0xfffe8000c211fffdULL, },
 152        { 0x144c8000fffef2a8ULL, 0xfffe80009905fffdULL, },    /* 104  */
 153        { 0x218f8000fffce682ULL, 0xfffe80008000fffdULL, },
 154        { 0x377d8000fff9cf4eULL, 0xfffe80008000fffdULL, },
 155        { 0x5bc08000fff5a2fbULL, 0xfffe80008000fffdULL, },
 156        { 0x0b3f964dfffd8d66ULL, 0xfffc80008000fffcULL, },
 157        { 0x0160a8b7ffff8000ULL, 0xfff880008000fffbULL, },
 158        { 0x002bb7ecffff8000ULL, 0xfff080008000fff9ULL, },
 159        { 0x0005c47affff8000ULL, 0xffe180008000fff7ULL, },
 160};
 161
 162    reset_msa_registers();
 163
 164    gettimeofday(&start, NULL);
 165
 166    for (i = 0; i < PATTERN_INPUTS_SHORT_COUNT; i++) {
 167        for (j = 0; j < PATTERN_INPUTS_SHORT_COUNT; j++) {
 168            do_msa_MSUB_Q_H(b128_pattern[i], b128_pattern[j],
 169                            b128_result[PATTERN_INPUTS_SHORT_COUNT * i + j]);
 170        }
 171    }
 172
 173    for (i = 0; i < RANDOM_INPUTS_SHORT_COUNT; i++) {
 174        for (j = 0; j < RANDOM_INPUTS_SHORT_COUNT; j++) {
 175            do_msa_MSUB_Q_H(b128_random[i], b128_random[j],
 176                            b128_result[((PATTERN_INPUTS_SHORT_COUNT) *
 177                                         (PATTERN_INPUTS_SHORT_COUNT)) +
 178                                        RANDOM_INPUTS_SHORT_COUNT * i + j]);
 179        }
 180    }
 181
 182    for (i = 0; i < RANDOM_INPUTS_SHORT_COUNT; i++) {
 183        for (j = 0; j < RANDOM_INPUTS_SHORT_COUNT; j++) {
 184            do_msa_MSUB_Q_H__DDT(b128_random[i], b128_random[j],
 185                                 b128_result[
 186                                     ((PATTERN_INPUTS_SHORT_COUNT) *
 187                                      (PATTERN_INPUTS_SHORT_COUNT)) +
 188                                     ((RANDOM_INPUTS_SHORT_COUNT) *
 189                                      (RANDOM_INPUTS_SHORT_COUNT)) +
 190                                     RANDOM_INPUTS_SHORT_COUNT * i + j]);
 191        }
 192    }
 193
 194    for (i = 0; i < RANDOM_INPUTS_SHORT_COUNT; i++) {
 195        for (j = 0; j < RANDOM_INPUTS_SHORT_COUNT; j++) {
 196            do_msa_MSUB_Q_H__DSD(b128_random[i], b128_random[j],
 197                                 b128_result[
 198                                     ((PATTERN_INPUTS_SHORT_COUNT) *
 199                                      (PATTERN_INPUTS_SHORT_COUNT)) +
 200                                     (2 * (RANDOM_INPUTS_SHORT_COUNT) *
 201                                      (RANDOM_INPUTS_SHORT_COUNT)) +
 202                                     RANDOM_INPUTS_SHORT_COUNT * i + j]);
 203        }
 204    }
 205
 206    gettimeofday(&end, NULL);
 207
 208    elapsed_time = (end.tv_sec - start.tv_sec) * 1000.0;
 209    elapsed_time += (end.tv_usec - start.tv_usec) / 1000.0;
 210
 211    ret = check_results_128(isa_ase_name, group_name, instruction_name,
 212                            TEST_COUNT_TOTAL, elapsed_time,
 213                            &b128_result[0][0], &b128_expect[0][0]);
 214
 215    return ret;
 216}
 217